[go: nahoru, domu]

Skip to content
View NgoMinhNhan's full-sized avatar
🏠
Working from home
🏠
Working from home

Block or report NgoMinhNhan

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse

Starred repositories

Showing results

EE 260 Winter 2017: Advanced VLSI Design

Verilog 57 25 Updated Dec 13, 2016

Ethernet MAC IP Core for 100G/50G/40G/25G/10Gbps

VHDL 36 18 Updated Apr 3, 2023

A basic testbench made for educational purposes using SystemVerilog and the Universal Verification Methodology

93 86 Updated Mar 18, 2014

AMBA v.3 APB v.1 Specification Complaint Slave SRAM Core design and testbench. The testbench is developed using System Verilog and UVM and can be used as standalone Verification IP (VIP).

SystemVerilog 147 57 Updated Jul 23, 2018

APB4 Multiplexor

SystemVerilog 13 5 Updated May 10, 2024

AMBA bus generator including AXI4, AXI3, AHB, and APB

C 166 42 Updated Jul 16, 2023

体系结构课程实验:RISC-V 32I 流水线 CPU,实现37条指令,转发,冒险检测,Cache,分支预测器

Verilog 60 24 Updated Nov 28, 2019

RISC-V Cores, SoC platforms and SoCs

1 Updated Sep 9, 2020

RISC-V CPU Core

SystemVerilog 1 Updated Oct 3, 2018

Mẫu luận văn tốt nghiệp trường Đại học Cần Thơ soạn thảo bằng LaTeX

TeX 9 4 Updated May 14, 2018

PicoRV32 - A Size-Optimized RISC-V CPU

Verilog 3,042 746 Updated Jun 27, 2024

yet another AXI testbench repo. ;) This is for my UVM practice. https://marcoz001.github.io/axi-uvm/

SystemVerilog 102 50 Updated Nov 29, 2017

An FPGA-based RISC-V CPU+SoC with a simple and extensible peripheral bus. 基于FPGA的RISC-V CPU+SoC,包含一个简单且可扩展的外设总线。

SystemVerilog 344 71 Updated Sep 14, 2023

This is the top-level project for the PULP Platform. It instantiates a PULP open-source system with a PULP SoC (microcontroller) domain accelerated by a PULP cluster with 8 cores.

SystemVerilog 436 114 Updated Apr 17, 2024

⛔ DEPRECATED ⛔ RISC-V manycore accelerator for HERO, bigPULP hardware platform

SystemVerilog 50 16 Updated Jan 6, 2022

The root repo for lowRISC project and FPGA demos.

SystemVerilog 593 148 Updated Aug 3, 2023

Design and Verification of AMBA APB slave

SystemVerilog 2 1 Updated Dec 15, 2020
SystemVerilog 1 Updated Nov 11, 2019

Collection of IPs based on AMBA (AHB, APB, AXI) protocols

SystemVerilog 20 2 Updated Feb 1, 2017

AMBA bus lecture material

Verilog 362 125 Updated Jan 21, 2020

AXI Adapter(s) for RISC-V Atomic Operations

SystemVerilog 58 15 Updated Aug 26, 2024

The Ultra-Low Power RISC-V Core

Verilog 1,193 331 Updated Sep 5, 2024

AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

SystemVerilog 1,045 251 Updated Jul 31, 2024

The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux

Assembly 2,203 670 Updated Sep 6, 2024

SCR1 is a high-quality open-source RISC-V MCU core in Verilog

SystemVerilog 835 267 Updated May 15, 2024

RISC-V RV32IM compatible CPU created from scratch. Includes MMU and D/I-Caches

Verilog 2 Updated Oct 29, 2020

A Verilog implementation of a RISC-V CPU, supporting RV32IM instruction set with cache & memory module

Verilog 1 1 Updated Dec 31, 2019

Worked on a team of 3 to complete a pipelined RISC-V processor from scratch in System Verilog, complete with dual L1 Caches, a L2 cache, prefetching, and a branch predictor.

Verilog 2 1 Updated Nov 11, 2020

A FPGA-supported RISC-V CPU with 5-stage pipeline implemented in Verilog HDL. Achieve good performance due to optimizations like branch prediction and cache.

Verilog 8 1 Updated Jan 4, 2020

RiftCore is a 9-stage, single-issue, out-of-order 64-bits RISC-V Core, which supports RV64IMC and 3-level Cache System

Verilog 33 10 Updated Sep 21, 2022
Next